天才教育網(wǎng)合作機(jī)構(gòu) > 電腦培訓(xùn)機(jī)構(gòu) > 編程語(yǔ)言培訓(xùn)機(jī)構(gòu) >

游戲設(shè)計(jì)交流中心

歡迎您!
朋友圈

400-850-8622

全國(guó)統(tǒng)一學(xué)習(xí)專線 9:00-21:00

位置:電腦培訓(xùn)資訊 > 編程語(yǔ)言培訓(xùn)資訊 > 乒乓球游戲桌設(shè)計(jì)方法,F(xiàn)PGA 乒乓球游戲機(jī)設(shè)計(jì)

乒乓球游戲桌設(shè)計(jì)方法,F(xiàn)PGA 乒乓球游戲機(jī)設(shè)計(jì)

日期:2021-10-08 18:27:51     瀏覽:369    來(lái)源:游戲設(shè)計(jì)交流中心
核心提示:科技時(shí)代到來(lái),優(yōu)異也隨之而來(lái),我們會(huì)去關(guān)注FPGA乒乓球游戲機(jī)設(shè)計(jì),乒乓球比賽游戲機(jī)的設(shè)計(jì)原理圖,急求數(shù)字電子課程設(shè)計(jì)乒乓球比賽游戲機(jī)總結(jié)收獲體會(huì)~~,設(shè)計(jì)乒乓球比賽游戲機(jī)的原理圖??,還可以通過(guò)FPGA乒乓球游戲

科技時(shí)代到來(lái),優(yōu)異也隨之而來(lái),我們會(huì)去關(guān)注FPGA 乒乓球游戲機(jī)設(shè)計(jì),乒乓球比賽游戲機(jī)的設(shè)計(jì)原理圖,急求數(shù)字電子課程設(shè)計(jì)乒乓球比賽游戲機(jī)總結(jié) 收獲 體會(huì)~~,設(shè)計(jì)乒乓球比賽游戲機(jī)的原理圖??,還可以通過(guò)FPGA 乒乓球游戲機(jī)設(shè)計(jì),乒乓球比賽游戲機(jī)的設(shè)計(jì)原理圖,急求數(shù)字電子課程設(shè)計(jì)乒乓球比賽游戲機(jī)總結(jié) 收獲 體會(huì)~~,設(shè)計(jì)乒乓球比賽游戲機(jī)的原理圖??進(jìn)一步去來(lái)了解,接下來(lái)就跟隨作者一起去看看吧!

1.FPGA 乒乓球游戲機(jī)設(shè)計(jì)

1 系統(tǒng)組成 乒乓球比賽游戲機(jī)的組成如圖1所示。比賽規(guī)則約定:五局三勝;11分一局;裁判發(fā)出比賽開始信號(hào),觸發(fā)FPGA內(nèi)部隨機(jī)數(shù)發(fā)生器模塊產(chǎn)生首次發(fā)球權(quán)方;比賽進(jìn)行中,選手連續(xù)兩次獲得發(fā)球權(quán)后,發(fā)球權(quán)交予對(duì)方,如未獲發(fā)球權(quán)方發(fā)球,裁判端犯規(guī)音響電路鳴響;13個(gè)LED排列成行模擬乒乓球臺(tái);點(diǎn)亮的LED模擬乒乓球,受FPGA控制從左到右或從右到左移動(dòng);比賽選手通過(guò)按鈕輸入模擬擊球信號(hào),實(shí)現(xiàn)LED移位方向的控制;若發(fā)亮的LED運(yùn)動(dòng)在球臺(tái)中點(diǎn)至對(duì)方終點(diǎn)之間時(shí),對(duì)方未能及時(shí)按下?lián)羟虬粹o使其向相反方向移動(dòng),即失去一分。2 功能模塊設(shè)計(jì) 圖1中,基于FPGA設(shè)計(jì)的控制端為整個(gè)系統(tǒng)的核心,其內(nèi)部主要由簡(jiǎn)易隨機(jī)數(shù)發(fā)生器、發(fā)球權(quán)控制器、乒乓球位置控制器、甲乙方計(jì)分控制器、犯規(guī)音響控制器等模塊組成。整個(gè)控制端采用模塊化設(shè)計(jì),先用VHDL語(yǔ)言編寫功能模塊,然后用頂層原理圖將各功能模塊連接起來(lái)。設(shè)計(jì)的難點(diǎn)在于協(xié)調(diào)各模塊工作,嚴(yán)格遵守各信號(hào)間時(shí)序關(guān)系。本系統(tǒng)采用1 kHz系統(tǒng)時(shí)鐘。2.1 簡(jiǎn)易隨機(jī)數(shù)發(fā)生器 比賽首次發(fā)球權(quán)由隨機(jī)數(shù)發(fā)生器產(chǎn)生的數(shù)據(jù)決定,其隨機(jī)性要求不嚴(yán),因此,采用非常簡(jiǎn)單的模式產(chǎn)生,即一旦FPGA上電,系統(tǒng)時(shí)鐘百分頻產(chǎn)生一方波信號(hào)square,當(dāng)裁判閉合開始比賽開關(guān)產(chǎn)生start信號(hào)上升沿時(shí),讀取此時(shí)square信號(hào)值作為隨機(jī)數(shù)發(fā)生器輸出randq。模塊仿真如圖2所示,結(jié)果滿足設(shè)計(jì)要求。此模塊設(shè)計(jì)時(shí)保證了square信號(hào)周期應(yīng)遠(yuǎn)大于start信號(hào)上升沿建立時(shí)間,保證隨機(jī)數(shù)據(jù)的正確讀取。2.2 發(fā)球權(quán)控制器 發(fā)球權(quán)控制器的控制過(guò)程為:如果按下復(fù)位按鈕,發(fā)球權(quán)數(shù)碼管顯示8,否則,開始比賽開關(guān)閉合時(shí),顯示隨機(jī)數(shù)發(fā)生器的值(0或1,0代表甲方、1代表乙方)。而在比賽中,為遵守發(fā)球權(quán)交換規(guī)則,設(shè)計(jì)甲乙雙方計(jì)分器總和信號(hào)sum_sc是不為0的偶數(shù)時(shí)(即計(jì)分總和*位sum_sc0下降沿到來(lái)時(shí)),發(fā)球權(quán)數(shù)碼管顯示由0變?yōu)?或由1變?yōu)?。 此模塊設(shè)計(jì)中,發(fā)球權(quán)數(shù)碼管的信號(hào)控制受多個(gè)時(shí)鐘的控制,即開始比賽開關(guān)start和計(jì)分值sum_sc0信號(hào),這在VHDL編程語(yǔ)言中無(wú)法用一個(gè)進(jìn)程實(shí)現(xiàn),必須將兩個(gè)信號(hào)組合成一個(gè)時(shí)鐘信號(hào),并統(tǒng)一兩個(gè)時(shí)鐘的觸發(fā)沿。因此*時(shí)鐘觸發(fā)方式如圖3所示的fqq_en信號(hào)。為滿足這種時(shí)序要求,借助計(jì)分總和次低位sum_sc1信號(hào)設(shè)計(jì)entity sum_sc_mod2,由于start和sum_sc1的頻率都遠(yuǎn)低于系統(tǒng)時(shí)鐘信號(hào)clk頻率,則可借助clk高頻信號(hào)捕捉其邊沿產(chǎn)生新的時(shí)鐘信號(hào)fqq_en,并產(chǎn)生其計(jì)數(shù)值,仿真波形如圖4(a)所示。為保證發(fā)球權(quán)數(shù)碼管顯示正確,設(shè)計(jì)entitv led_fqq_ctl在fqq_en下降沿時(shí),根據(jù)其計(jì)數(shù)值產(chǎn)生相應(yīng)的數(shù)碼管輸出信號(hào)led_fqq,仿真波形如圖4(b)所示。 發(fā)球權(quán)控制器的VHDL核心程序如下:2.3 乒乓球位置控制、甲乙計(jì)分、犯規(guī)音響控制 乒乓球位置控制電路為FPGA控制端的核心,依據(jù)比賽規(guī)則,采用了Mealy型狀態(tài)機(jī)來(lái)實(shí)現(xiàn),大大降低了設(shè)計(jì)難度。狀態(tài)機(jī)共定義了7個(gè)狀態(tài),各狀態(tài)定義如表1所示,狀態(tài)轉(zhuǎn)換如圖5所示,轉(zhuǎn)換條件如表2所示,具體程序如下。 3 頂層模塊仿真測(cè)試 由于篇幅限制,本系統(tǒng)中的分頻器、譯碼器等常用模塊的設(shè)計(jì)就不再此贅述,最終頂層原理圖設(shè)計(jì)如圖6所示,仿真波形如圖7所示,分析波形可知,圖中開始比賽信號(hào)產(chǎn)生后,首次發(fā)球權(quán)方為乙方,甲方發(fā)球造成犯規(guī)音響電路鳴響,即speaker信號(hào)為高電平,然后乙方發(fā)球,乒乓球依次移位,甲方接球成功后乙方未接球成功,甲方得分,cnta信號(hào)為“0110000”,注意,此處輸出為驅(qū)動(dòng)數(shù)碼管輸出信號(hào),代表數(shù)字“1”。通過(guò)仿真可知,該系統(tǒng)設(shè)計(jì)滿足游戲機(jī)比賽規(guī)則要求。4 結(jié)語(yǔ) 采用VHDL語(yǔ)言編程,基于FPGA成功設(shè)計(jì)了一款乒乓球比賽游戲機(jī),通過(guò)仿真驗(yàn)證可知,結(jié)果滿足設(shè)計(jì)需求,系統(tǒng)具有發(fā)球權(quán)控制、自動(dòng)計(jì)分、犯規(guī)提示等多種功能,能有效模擬實(shí)際乒乓球比賽。該系統(tǒng)進(jìn)一步改進(jìn)思路為:改用人體感應(yīng)傳感器來(lái)采集擊球信號(hào),采用FPGA產(chǎn)生視頻信號(hào)傳送到電視機(jī)或監(jiān)視器,更直觀地展示乒乓球運(yùn)動(dòng)軌跡,從而真正實(shí)現(xiàn)人機(jī)互動(dòng),優(yōu)化虛擬效果。

2.乒乓球比賽游戲機(jī)的設(shè)計(jì)原理圖

 一、目 的 ? 熟悉與使用移位寄存器芯片 74 LS 194 。 ?  鞏固已經(jīng)掌握的數(shù)字電路設(shè)計(jì)與實(shí)驗(yàn)技能。 二、實(shí)驗(yàn)說(shuō)明 1?  74 LS 194 的功能 74 LS 194 為四位雙向移位寄存器,它具有左移、右移、保持、串行和并行輸入等多種功能。它的管腳排列見(jiàn)附錄。表 1 是它的功能表。 功能說(shuō)明: (1)?  當(dāng) S 1 = S 0 =1 時(shí),不管各輸入端原來(lái)是什么狀態(tài),在下一個(gè)時(shí)脈沖到來(lái)時(shí),其輸出分別是預(yù)先輸入到并行輸入端的 abcd ,這種方式叫送數(shù)。(2)?  當(dāng) S 1 =0 , S 0 =1 時(shí),其工作方式叫右移,這時(shí),每來(lái)一個(gè)時(shí)鐘脈沖,輸出端的數(shù)各向右移一位,而 Q A 端的輸出則由加到 R 端的數(shù)來(lái)補(bǔ)充。 (3)?  當(dāng) S 1 =1 , S 0 =0 時(shí),其工作方式叫左移,情況正好與右移相反; Q D 端的輸出由加到 L 端的數(shù)來(lái)補(bǔ)充。 (4)?  當(dāng) S 1 = S 0 =0 時(shí),不管是否有 CP 脈沖作用,輸出保持不變,這叫保持方式。 CP=0 時(shí)也是保持方式。 將 74LS194 接成圖 3-22-1 所示實(shí)驗(yàn)電路時(shí),可以檢驗(yàn)其各種功能。 2?  乒乓球游戲機(jī) 以八個(gè)發(fā)光二極管做為球,每次點(diǎn)亮一個(gè)發(fā)光二極管,做為乒乓球運(yùn)行的當(dāng)前位置。 以兩個(gè)防抖開關(guān)作為球拍,由游戲者(甲、乙)各控制一個(gè),按下開關(guān)表示擊球。甲乙雙方各有一個(gè)記分牌,由一個(gè)數(shù)碼管顯示雙方的得分,勝一球累加一分, 15 分為一局。 球的運(yùn)行速度可以在賽前預(yù)置。 進(jìn)行比賽的過(guò)程和記分規(guī)則可以用圖 3-22-2 所示流程圖描述。 三、預(yù)習(xí)要求 按圖 3-22-2 所示流程圖,用移位寄存器、 J-K 觸發(fā)器、與非門、或非門、防抖開關(guān)、計(jì)數(shù)器等設(shè)計(jì)一個(gè)乒乓球游戲機(jī),希望把電路設(shè)計(jì)成獨(dú)立的兩部分:甲(乙)發(fā)球及球向乙(甲)方移動(dòng)的部分及甲(乙)方加分的部分,以便安裝及單獨(dú)調(diào)整。 建議如下: 1?  用兩個(gè) 74LS194 雙向移位寄存器的八個(gè)輸出各控制一個(gè) LED 發(fā)光二極管,用高電平的左移和右移,依次點(diǎn)亮八個(gè)發(fā)光二極管之一,以表示乒乓球的移動(dòng)。 2?  用一個(gè) J-K 觸發(fā)器和兩個(gè)門電路給出 01 、 10 和 11 三種狀態(tài),用它們?nèi)タ刂埔莆患拇嫫鞯?S 1 和 S 0 端,以實(shí)現(xiàn)左移、右移及送數(shù)(發(fā)球)。 3?  J-K 觸發(fā)器的 J 、 K 端由防抖開關(guān)(球拍)及移位寄存器最左邊一位和最右邊一位的電平來(lái)控制:防抖開關(guān)未按下時(shí), J-K 觸發(fā)器的狀態(tài)不變。按下一個(gè)防抖開關(guān),同時(shí)移位寄存器最左或最右邊一位達(dá)高電平時(shí)(發(fā)光二極管亮,表示乒乓球到達(dá)*位置), J 或 K 端應(yīng)等于 1 ,使 J-K 觸發(fā)器翻轉(zhuǎn),以改變移位寄存器的移位方向。 4?  發(fā)球之前要將移位寄存器請(qǐng)零。 5?  記分電路仍由防抖開關(guān)及移位寄存器的輸出控制:按下一個(gè)防抖開關(guān),移位寄存器最左或最右邊一位未達(dá)到高電平時(shí),應(yīng)該給對(duì)方加分。加分后,移位寄存器應(yīng)該停止運(yùn)動(dòng)(斷開時(shí)鐘信號(hào))。建議采用二進(jìn)制計(jì)數(shù)器 74LS93 進(jìn)行計(jì)數(shù),其功能及管腳接法見(jiàn)附錄。 設(shè)計(jì)記分電路時(shí)還應(yīng)考慮:①發(fā)球時(shí),計(jì)數(shù)器不應(yīng)動(dòng)作。②應(yīng)能清零。③怎樣用記分的信號(hào)去斷開時(shí)鐘信號(hào),使移位寄存器處于保持狀態(tài)。 6?  在實(shí)驗(yàn)箱上有時(shí)鐘信號(hào)和防抖開關(guān),不必另行設(shè)計(jì)。 看了上述建議并經(jīng)過(guò)認(rèn)真考慮之后,如果還設(shè)計(jì)不出來(lái)的話,可參看本實(shí)驗(yàn)之末所附的參考電路及邏輯關(guān)系式。 四、實(shí)驗(yàn)要求 1?  檢查所給雙向移位寄存器 74LS194 的各種功能。 2?  搭接乒乓球游戲機(jī)的發(fā)球及移位控制部分,檢查它是否能實(shí)現(xiàn):①清零后,甲(乙)發(fā)球及球向乙(甲)方移動(dòng)。②乙(甲)未擊球時(shí),球繼續(xù)按原來(lái)方向移動(dòng)。③擊球后,如果球已到*位置,則改變?cè)瓉?lái)的移位方向,若球未到*位置,則位移方向不變。 3?  以上要求滿足后,可搭接甲乙雙方的記分電路。 4?  將兩部分聯(lián)試。 若時(shí)間來(lái)不及可以不做 3 、 4 兩部分內(nèi)容。以下提供的芯片其管腳排列圖見(jiàn)附錄。 五、提供的芯片 74LS00 2 片 74LS27 1 片 74LS04 1 片 74LS73 1 片 74LS10 1 片 74LS74 1 片 74LS20 1 片 74LS93 2 片 74LS194 2 片 六、總結(jié)報(bào)告要求 畫出邏輯原理圖,并簡(jiǎn)要說(shuō)明設(shè)計(jì)思想,寫出實(shí)驗(yàn)后的心得體會(huì)。 七、參考電路 圖 3-22-3 為控制點(diǎn)亮的發(fā)光二極管(即“乒乓球”)位移的電路, CLR 為移位寄存器的清零。 K L (L) 和 K R (L) 為防抖開關(guān),用作甲乙雙方的“球拍”,常態(tài)為低電平。球拍用于擊球或發(fā)球。發(fā)球前,移位寄存器先要清零。 控制“球”的位移方向的是 J-K 觸發(fā)器的 J 、 K 端。根據(jù)圖 3-22-2 所示流程圖的要求, J 和 K 的邏輯式為 信號(hào) Y 用來(lái)控制發(fā)球,球運(yùn)行時(shí) S 1 =1,S 0 =0 或 S 1 =0,S 0 =1, 這時(shí) Y=1 ;發(fā)球時(shí) S 1 =1,S 0 =1, 移位寄存器已清零并處于送數(shù)狀態(tài),這時(shí) Y=0 , Y 的邏輯式為 記分電路中采用 74LS93 計(jì)數(shù)器記分。輸入到左邊的計(jì)數(shù)器的計(jì)數(shù)信號(hào)為 式中把與 S 0 Y 相與,可防止發(fā)球時(shí)和擊球后誤記分。右邊的計(jì)數(shù)電路與此類似。流程圖中還要求:擊球失誤,給對(duì)方加分,球停止運(yùn)動(dòng)。這相當(dāng)于移位寄存器處于保持狀態(tài)。圖 3-22-3 的電路只能給出左移、右移和送數(shù)三種狀態(tài),所以可用斷開時(shí)鐘脈沖的方法,使移位寄存器達(dá)到保持狀態(tài),使球停止運(yùn)行。

3.急求數(shù)字電子課程設(shè)計(jì)乒乓球比賽游戲機(jī)總結(jié) 收獲 體會(huì)~~

通過(guò)此次課程設(shè)計(jì),我們了解了模擬電路基本設(shè)計(jì)方法,對(duì)Multisim仿真軟件有了初步的了解和認(rèn)識(shí),使用Multisim仿真軟件,可以讓我們?cè)谔摂M的環(huán)境中進(jìn)行實(shí)驗(yàn),不需要真實(shí)電路環(huán)境的介入,不必顧及儀器設(shè)備的短缺與時(shí)間環(huán)境的限制,能夠極大的提高實(shí)驗(yàn)的效率。這次數(shù)電課程設(shè)計(jì),雖然短暫但是讓我得到多方面的提高:1、提高了我們的邏輯思維能力,使我們?cè)谶壿嬰娐返姆治雠c設(shè)計(jì)上有了很大的進(jìn)步。加深了我們對(duì)組合邏輯電路與時(shí)序邏輯電路的認(rèn)識(shí),進(jìn)一步增進(jìn)了對(duì)一些常見(jiàn)邏輯器件的了解。另外,我們還更加充分的認(rèn)識(shí)到,數(shù)字電路這門課程在科學(xué)發(fā)展中的至關(guān)重要性2,查閱參考書的獨(dú)立思考的能力以及培養(yǎng)非常重要,我們?cè)谠O(shè)計(jì)電路時(shí),遇到很多不理解的東西,有的我們通過(guò)查閱參考書弄明白,有的通過(guò)網(wǎng)絡(luò)查到,但由于時(shí)間和資料有限我們更多的還是獨(dú)立思考。3,相互討論共同研究也是很重要的,經(jīng)常出現(xiàn)一些問(wèn)題,比如電路設(shè)計(jì)中的控制器的設(shè)計(jì),以及乒乓球游戲機(jī)怎樣計(jì)分等的分析。還使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過(guò)程中遇到問(wèn)題,可以說(shuō)得是困難重重,這畢竟*次做的,難免會(huì)遇到過(guò)各種各樣的問(wèn)題,同時(shí)在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握得不夠牢固

4.設(shè)計(jì)乒乓球比賽游戲機(jī)的原理圖

實(shí)驗(yàn)二十二 乒乓球游戲機(jī) 一、目 的 ?? 熟悉與使用移位寄存器芯片 74 LS 194 。 ?? 鞏固已經(jīng)掌握的數(shù)字電路設(shè)計(jì)與實(shí)驗(yàn)技能。 二、實(shí)驗(yàn)說(shuō)明 1?? 74 LS 194 的功能 74 LS 194 為四位雙向移位寄存器,它具有左移、右移、保持、串行和并行輸入等多種功能。它的管腳排列見(jiàn)附錄。表 1 是它的功能表。 功能說(shuō)明: (1)?? 當(dāng) S 1 = S 0 =1 時(shí),不管各輸入端原來(lái)是什么狀態(tài),在下一個(gè)時(shí)脈沖到來(lái)時(shí),其輸出分別是預(yù)先輸入到并行輸入端的 abcd ,這種方式叫送數(shù)。(2)?? 當(dāng) S 1 =0 , S 0 =1 時(shí),其工作方式叫右移,這時(shí),每來(lái)一個(gè)時(shí)鐘脈沖,輸出端的數(shù)各向右移一位,而 Q A 端的輸出則由加到 R 端的數(shù)來(lái)補(bǔ)充。 (3)?? 當(dāng) S 1 =1 , S 0 =0 時(shí),其工作方式叫左移,情況正好與右移相反; Q D 端的輸出由加到 L 端的數(shù)來(lái)補(bǔ)充。 (4)?? 當(dāng) S 1 = S 0 =0 時(shí),不管是否有 CP 脈沖作用,輸出保持不變,這叫保持方式。 CP=0 時(shí)也是保持方式。 將 74LS194 接成圖 3-22-1 所示實(shí)驗(yàn)電路時(shí),可以檢驗(yàn)其各種功能。 2?? 乒乓球游戲機(jī) 以八個(gè)發(fā)光二極管做為球,每次點(diǎn)亮一個(gè)發(fā)光二極管,做為乒乓球運(yùn)行的當(dāng)前位置。 以兩個(gè)防抖開關(guān)作為球拍,由游戲者(甲、乙)各控制一個(gè),按下開關(guān)表示擊球。甲乙雙方各有一個(gè)記分牌,由一個(gè)數(shù)碼管顯示雙方的得分,勝一球累加一分, 15 分為一局。 球的運(yùn)行速度可以在賽前預(yù)置。 進(jìn)行比賽的過(guò)程和記分規(guī)則可以用圖 3-22-2 所示流程圖描述。 三、預(yù)習(xí)要求 按圖 3-22-2 所示流程圖,用移位寄存器、 J-K 觸發(fā)器、與非門、或非門、防抖開關(guān)、計(jì)數(shù)器等設(shè)計(jì)一個(gè)乒乓球游戲機(jī),希望把電路設(shè)計(jì)成獨(dú)立的兩部分:甲(乙)發(fā)球及球向乙(甲)方移動(dòng)的部分及甲(乙)方加分的部分,以便安裝及單獨(dú)調(diào)整。 建議如下: 1?? 用兩個(gè) 74LS194 雙向移位寄存器的八個(gè)輸出各控制一個(gè) LED 發(fā)光二極管,用高電平的左移和右移,依次點(diǎn)亮八個(gè)發(fā)光二極管之一,以表示乒乓球的移動(dòng)。 2?? 用一個(gè) J-K 觸發(fā)器和兩個(gè)門電路給出 01 、 10 和 11 三種狀態(tài),用它們?nèi)タ刂埔莆患拇嫫鞯?S 1 和 S 0 端,以實(shí)現(xiàn)左移、右移及送數(shù)(發(fā)球)。 3?? J-K 觸發(fā)器的 J 、 K 端由防抖開關(guān)(球拍)及移位寄存器最左邊一位和最右邊一位的電平來(lái)控制:防抖開關(guān)未按下時(shí), J-K 觸發(fā)器的狀態(tài)不變。按下一個(gè)防抖開關(guān),同時(shí)移位寄存器最左或最右邊一位達(dá)高電平時(shí)(發(fā)光二極管亮,表示乒乓球到達(dá)*位置), J 或 K 端應(yīng)等于 1 ,使 J-K 觸發(fā)器翻轉(zhuǎn),以改變移位寄存器的移位方向。 4?? 發(fā)球之前要將移位寄存器請(qǐng)零。 5?? 記分電路仍由防抖開關(guān)及移位寄存器的輸出控制:按下一個(gè)防抖開關(guān),移位寄存器最左或最右邊一位未達(dá)到高電平時(shí),應(yīng)該給對(duì)方加分。加分后,移位寄存器應(yīng)該停止運(yùn)動(dòng)(斷開時(shí)鐘信號(hào))。建議采用二進(jìn)制計(jì)數(shù)器 74LS93 進(jìn)行計(jì)數(shù),其功能及管腳接法見(jiàn)附錄。 設(shè)計(jì)記分電路時(shí)還應(yīng)考慮:①發(fā)球時(shí),計(jì)數(shù)器不應(yīng)動(dòng)作。②應(yīng)能清零。③怎樣用記分的信號(hào)去斷開時(shí)鐘信號(hào),使移位寄存器處于保持狀態(tài)。 6?? 在實(shí)驗(yàn)箱上有時(shí)鐘信號(hào)和防抖開關(guān),不必另行設(shè)計(jì)。 看了上述建議并經(jīng)過(guò)認(rèn)真考慮之后,如果還設(shè)計(jì)不出來(lái)的話,可參看本實(shí)驗(yàn)之末所附的參考電路及邏輯關(guān)系式。 四、實(shí)驗(yàn)要求 1?? 檢查所給雙向移位寄存器 74LS194 的各種功能。 2?? 搭接乒乓球游戲機(jī)的發(fā)球及移位控制部分,檢查它是否能實(shí)現(xiàn):①清零后,甲(乙)發(fā)球及球向乙(甲)方移動(dòng)。②乙(甲)未擊球時(shí),球繼續(xù)按原來(lái)方向移動(dòng)。③擊球后,如果球已到*位置,則改變?cè)瓉?lái)的移位方向,若球未到*位置,則位移方向不變。 3?? 以上要求滿足后,可搭接甲乙雙方的記分電路。 4?? 將兩部分聯(lián)試。 若時(shí)間來(lái)不及可以不做 3 、 4 兩部分內(nèi)容。以下提供的芯片其管腳排列圖見(jiàn)附錄。 五、提供的芯片 74LS00 2 片 74LS27 1 片 74LS04 1 片 74LS73 1 片 74LS10 1 片 74LS74 1 片 74LS20 1 片 74LS93 2 片 74LS194 2 片 六、總結(jié)報(bào)告要求 畫出邏輯原理圖,并簡(jiǎn)要說(shuō)明設(shè)計(jì)思想,寫出實(shí)驗(yàn)后的心得體會(huì)。 七、參考電路 圖 3-22-3 為控制點(diǎn)亮的發(fā)光二極管(即“乒乓球”)位移的電路, CLR 為移位寄存器的清零。 K L (L) 和 K R (L) 為防抖開關(guān),用作甲乙雙方的“球拍”,常態(tài)為低電平。球拍用于擊球或發(fā)球。發(fā)球前,移位寄存器先要清零。 控制“球”的位移方向的是 J-K 觸發(fā)器的 J 、 K 端。根據(jù)圖 3-22-2 所示流程圖的要求, J 和 K 的邏輯式為 信號(hào) Y 用來(lái)控制發(fā)球,球運(yùn)行時(shí) S 1 =1,S 0 =0 或 S 1 =0,S 0 =1, 這時(shí) Y=1 ;發(fā)球時(shí) S 1 =1,S 0 =1, 移位寄存器已清零并處于送數(shù)狀態(tài),這時(shí) Y=0 , Y 的邏輯式為 記分電路中采用 74LS93 計(jì)數(shù)器記分。輸入到左邊的計(jì)數(shù)器的計(jì)數(shù)信號(hào)為 式中把與 S 0 Y 相與,可防止發(fā)球時(shí)和擊球后誤記分。右邊的計(jì)數(shù)電路與此類似。流程圖中還要求:擊球失誤,給對(duì)方加分,球停止運(yùn)動(dòng)。這相當(dāng)于移位寄存器處于保持狀態(tài)。圖 3-22-3 的電路只能給出左移、右移和送數(shù)三種狀態(tài),所以可用斷開時(shí)鐘脈沖的方法,使移位寄存器達(dá)到保持狀態(tài),使球停止運(yùn)行。圖 3-22-4 為 一種可行的方案。

上文講述了FPGA 乒乓球游戲機(jī)設(shè)計(jì),乒乓球比賽游戲機(jī)的設(shè)計(jì)原理圖,急求數(shù)字電子課程設(shè)計(jì)乒乓球比賽游戲機(jī)總結(jié) 收獲 體會(huì)~~,設(shè)計(jì)乒乓球比賽游戲機(jī)的原理圖??,大致對(duì)FPGA 乒乓球游戲機(jī)設(shè)計(jì),乒乓球比賽游戲機(jī)的設(shè)計(jì)原理圖,急求數(shù)字電子課程設(shè)計(jì)乒乓球比賽游戲機(jī)總結(jié) 收獲 體會(huì)~~,設(shè)計(jì)乒乓球比賽游戲機(jī)的原理圖??有個(gè)簡(jiǎn)單了解,如還需深了解請(qǐng)聯(lián)系作者。

本文由 游戲設(shè)計(jì)交流中心 整理發(fā)布。更多培訓(xùn)課程,學(xué)習(xí)資訊,課程優(yōu)惠,課程開班,學(xué)校地址等學(xué)校信息,可以留下你的聯(lián)系方式,讓課程老師跟你詳細(xì)解答:
咨詢電話:400-850-8622

如果本頁(yè)不是您要找的課程,您也可以百度查找一下: